• 통합겿색(3,481)
  • 리포트(2,569)
  • (709)
  • 시험자료(120)
  • 방송통신댿(50)
  • 자기소개서(18)
  • 서식(9)
  • ppt테마(3)
  • 전자료(1)
  • 이력서(1)
  • 노폙우(1)
판매자 표는 다운로드시 포함되지 않습니다.

"Encoder" 검색결과 341-360 / 3,481건

  • [논리회로실험] decoder와 encoder (결)
    encoder (DCBA)for decimal digits 0 to 5표 1을 기초로 하여 표2 에 D, C, B, A를 ‘0’과 ‘1’로 기록하라 ( ‘1’ > 2.5V, ‘0
    리포트 | 7페이짿 | 1,500원 | 등록일 2009.03.20
  • [A+ 예비보고서] 아주대 논리회로실험 실험5 'Decoder & Encoder'
    실험5. 예비보고서실험목적- 디코딩(decoding)과 인코딩(encoding)의 코드변환 동작에 관해 실험하고 그 동작원리를 이해한다.- AND gate를 이용하여 Excess ... -3 Code를 구성하고 10진 입력을 주고 그 결과를 관찰한다.- priority encoder에 대하여 이해한다.2. 실험이론●디코딩- 일반적으로 디코더는 n-bit의 코드 ... , 10진의 입력을 BCD,2진의 출력으로 바꿔주는 것을 인코딩이라 한다. 일반적으로 2n 개의 입력고 n개의 2진 출력을 가지고 있다.●priority encoder-priority
    리포트 | 5페이짿 | 1,000원 | 등록일 2015.03.27
  • 데이탿 encoding하는 방법
    디지털 데이터를 encoding하는 방법▶ 데이터 변환의 개념아날로그 및 디지털 데이터 중 어느 쪽도 디지털 신호를 변환될 수 있다. 이러한 변환을 데이터의 "부호화 ... (encoding)"라고 한다.특히, 모든 종류의 데이터가 아날로그 신호로(전기적인 용어로, 전기신호) 변환하는 것을"변호(Modulation)"라고 한다. 즉 변조한 원래의 데이터를 특정반송 ... 다.디지털 전송의 경우에, 디지털 또는 아날로그 데이터 g(t)는 디지털신호를 생성하기 위해서 특정 부호화기법을 이용하여 실현된 부호기(encoder)를 통해 x(f)라는 디지털
    리포트 | 11페이짿 | 1,000원 | 등록일 2004.11.21
  • [A+ 결보고서] 아주대 논리회로실험 실험5 'Decoder & Encoder'
    실험5. 결보고서실험목적- 디코딩(decoding)과 인코딩(encoding)의 코드변환 동작에 관해 실험하고 그 동작원리를 이해한다.- AND gate를 이용하여 Excess ... -3 Code를 구성하고 10진 입력을 주고 그 결과를 관찰한다.- priority encoder에 대하여 이해한다.2. 실험결과●Decoder실험1 2X4 Decoderinput ... 는 결과가 명확히 정해져 있는 실험이므로, 실험값이 예비보고서와 이론적인 결과값이 같을 경우에는 실험진행시 회로를 올바르게 구성했다는 것 밖에 분석할 수 없는것같다.●Encoder실험
    리포트 | 5페이짿 | 1,000원 | 등록일 2015.03.27
  • VHDL로 구현한 해밍코드(Hamming code)의 인코더(encoder), 디코더(decoder) 설계
    설계과정 : 주어진 information bit에 패리티비트를 추가하는 Encoder의 설계, 인코딩 되어진 12자리 비트에 에러를 의도적으로 추가하여 시뮬레이션 했을 때 자체
    리포트 | 4페이짿 | 5,000원 | 등록일 2013.12.29 | 수정일 2020.12.14
  • Matlab을 이용한 cyclic encoder 및 decoder 설계
    vector를 입력하시오 M = ');% Generator polynomialsP = cyclpoly(N,K,'min')% Select Encoding or Decoding c ... ourse% 주어진 Codeword가 있다면 Encoding 과정없이 Decoding 가능% 변수 U는 Encoding으로 생성된 Codeword C는 Decoding 과정을 거친 ... Codeword% Error vector 입력시 N의 크기에 맞게 입력실시Select1 = input('어떤 과정을 진행하시겠습니까? 1. Encoder 2. Decoder 3
    리포트 | 13페이짿 | 3,000원 | 등록일 2010.06.03
  • xilinx를 이용한 디코더(Decoder)와 인코더(Encoder)설계
    6주차 과제디코더(Decoder)와 인코더(Encoder)설계1. 설계 배경 및 목표6주차 실습은 디코더(Decoder)와 인코더(Encoder)의 정의와 작동 방식을 알며 조건 ... 다.2X4 디코더 회로2X4 디코더의 진리표ABD3D2D1D02X4 Decoder2X4 디코더 블록도2. 관련 기술 및 이론(2) 인코더(Encoder) 인코더는 디코더의 역연산 ... 의 정의를 다시 한 번 확인할 수 있다.4X2 인코더의 진리표ABD3D2D1D04X2 Encoder4X2 인코더 블록도3. 설계 내용 및 방법-case문을 사용한 decoder
    리포트 | 19페이짿 | 1,500원 | 등록일 2010.06.24
  • [토끼] 기초전자공학실험1_Encoder_Decoder_MUX
    기초 전자 공학 실험2실험날짜:조 :조원 :1.Title? Encoder, Decoder, MUX2.Name구 분학 번이 름3.Abstract1) Decoder에 대하여 이론적인 ... 학문을 습득하고 그 예시에 대하여 살펴본다.2) Encoder에 대하여 이론적인 학문을 습득하고 그 예시에 대하여 살펴본다.3) MUX에 대하여 이론적인 학문을 습득하고 그 예시 ... 에 대하여 살펴본다.4) 실험1을 통하여 4 to 1 MUX의 실제 동작을 확인하고 익힌다.5) 실험2를 통하여 Encoder를 응용하여 스위치를 사용한 7-segment실제동작
    리포트 | 40페이짿 | 5,000원 | 등록일 2011.04.11 | 수정일 2020.07.10
  • 아주대 논리회로실험 실험예비7 복호기와 부호기 (Decoder & Encoder)
    실험 7. 복호기와 부호기 (Decoder & Encoder) 예비보고서● 이론(1) 복호화(Decoding)2진수를 10진수로 바꿔주는 것으로 카운트 상태를 AND gate ... 화(Encoding)인코딩은 디코딩의 반대를 의미하며 10진수를 2진수로 변환하는 것이다. 인코딩할 때는 출력코드의 각 비트에 대해 논리 연산 OR가 필요하다. OR gate ... 한 후 새로운 코드로 인코딩하는 방법이다.(4) 부호기(Encoder)어떤 부호계열의 신호를 다른 부호계열의 신호로 바꾸는 변환기를 말한다. 여러 개의 입력 단자와 여러 개의 출력
    리포트 | 12페이짿 | 1,500원 | 등록일 2014.10.04 | 수정일 2017.08.03
  • 디지털 통신 Term project_Encoder and Decoder for Systematic (N,K) Cyclic Codes
    이동통신공학Encoder and Decoder for Systematic (N,K) Cyclic Codes코드 및 해석1. CyclicEncoder.m% Encoder for
    리포트 | 10페이짿 | 2,000원 | 등록일 2013.10.13 | 수정일 2013.10.17
  • 아주대 논리회로실험 실험결과7 복호기와 부호기 (Decoder & Encoder)
    encoder >DecimalSwitch atDCBA0123450+500000001110+500000100200+500001013000+500011040000 ... 와 디코더 실험중 Decimal-to-excess 3 encoder 실험으로 우리가 일상 에서 사용하는 10진수를 회로설계와 논리회로에서 유용하게 사용하는 excess 3 code ... 하여 구성하면 우리가 원하던 Decimal-to-excess 3 encoder가 구성이 된다. 실제 우리 는 입력을 한 개씩 넣어 확인해본 결과 우리가 예상한 Excess 3 코드
    리포트 | 9페이짿 | 1,500원 | 등록일 2014.10.04 | 수정일 2017.08.03
  • Encoding
    데이탿 비트를 각 신호요소로 mapping시키는 방법을 일컫는 것.
    리포트 | 4페이짿 | 무료 | 등록일 1999.11.13
  • [논리회로과제]해밍코드 4 Input 7 Output ENCODER,DECODER 만들기
    4비트의 입력을 받아 7비트의 해밍코드를 출력하는 인코더를 만든다.A,B,C,D는 정보비트, H1에서 H7는 해밍코드이다. 왼쪽 그림의 entity와 entity안의 architecture을 구성하여 해밍코드가 출력되도록 하는 vhdl을 구성하고 testbench fi..
    리포트 | 15페이짿 | 4,000원 | 등록일 2013.01.21
  • 아주대 논리회로실험 래치와 플립플롭 , Decoder &Encoder결보고서
    논리회로실험 결보고서실험5. Decoder & Encoder실험 1) 2*4 디코더AND, NOT gate를 사용해서 2*4 디코더회로구성실험 1 결과값입력출력ABD0D1D2D ... truth table을 얻었다.실험 2) 8 X 3 Priority Encoder74HC148 칩을 이용하여 8 X 3 Priority Encoder 회로를 구성실험 2 결과값 ... 를 알아보는 실험이었다. Priority Encoder란 우선순위부호기라고 불리며 두가지 신호가 동시에 들어와도 그중 높은값 또는 낮은값을 우선수위로 정해서 그 입력만 들어가
    리포트 | 7페이짿 | 1,000원 | 등록일 2013.11.29
  • 정보통신 Pulse Code Modulation 펄스부호변조(PCM)이란? - 표본화(Sampling), 양자화(Quantization), 부호화(Encoding), 변환 소프트웨어
    목차1. 펄스 부호 변조(PCM)2. 표본화(Sampling)이란?3. 양자화(Quantization)란?4. 부호화(Encoding)란?5. 변환 소프트웨어6. 참고 자료1 ... 값을 7비트로 표현, 256단계는 8비트로 표현더 많은 단계로 표현하면 양자화 잡음은 줄일 수 있으나 정보량이 증가4. 부호화(Encoding)란?양자화 과정에서 얻은 결과 정수
    리포트 | 5페이짿 | 1,000원 | 등록일 2017.05.19
  • [결]실험5. Decoder & Encoder & 실험6. Latch & Flip-Flop
    5. Decoder & Encoder & 6. Latch & Flip-Flop1. 실험과정 및 결과?실험5-1. 2X4 Decoder실험 5-1은 inverter와 AND ... 는 작업을 하게 된다.?실험5-2. 8X3 Priority Encoder실험5-2에서는 priority encoder 소자인 74HC148 소자의 입력과 출력사이의 관계를 관찰 ... 하였다. 8x3 encoder이기 때문에 8개의 입력과 3개의 비트 출력 값을 가지고,GS와EO는 소자가 제대로 활성화 되었는지, 유효한 입력이 들어왔는지를 알려주는 출력이 된다
    리포트 | 7페이짿 | 1,500원 | 등록일 2013.09.28
  • [VHDL]디코더(decoder)와 인코더(encoder)
    2. 8x3 인코더-behavior modeling소스 코드Library ieee;use ieee.std_logic_1164.all;entity encoder_bh is ... downto 0));.......Library ieee;use ieee.std_logic_1164.all;entity tb_encoder_bh isend tb_encoder_bh ... ;architecture behave of tb_encoder_bh issignal d: std_logic_vector(7 downto 0);signal en: std_logic;signal x: std_logic_vector(2 downto 0);
    리포트 | 6페이짿 | 5,000원 | 등록일 2007.06.26 | 수정일 2017.11.21
  • ENCODER, LANCH & FLIP-FLOP
    (논리회로실험)실험 7. ENCODER, LANCH & FLIP-FLOP(예비 보고서)학부 : 전자공학부학번 : 200020325이름 : 류병철**배경이론**1. ENCODER ... 인코더(encoder)는 디코더의 반대되는 기능(입력과 출력이 바뀐 기능)을 수행하는 회로이다. 예로서 그림 1-1에 나타낸 4-to-2 인코더를 그림 1-1에 나타내었던 2-to
    리포트 | 21페이짿 | 3,000원 | 등록일 2007.06.18 | 수정일 2015.08.26
  • 결보고서 실험 7. 복호기와 부호기(Decoder & Encoder)
    < 결보고서 : 실험 7. 복호기와 부호기(Decoder & Encoder) >< 목 적 >카운터를 이용해 디코딩(decoding)과 인코딩(encoding)의 코드변환 동작 ... 하작에 관해 실험하고 그 동작원리에 대하여 실험을 통하여 알아보았다.실험 전 실험 강의 자료를 통해 복호기와 부호기(Decoder & Encoder)를 공부하였다. 실험 내용
    리포트 | 8페이짿 | 3,000원 | 등록일 2012.03.11
  • [결]Encoder를 이용한 위치 검출 및 개루프 제어 실험
    제어설계 실습 결과 보고서실험실습 Encoder를 이용한 위치 검출 및 개루프 제어 실험성 명학 번조?담당조교실험내용 개략(목적 및 방법 간추림)* 실험 목적① 엔코더의 특성이해 ... 에서 약 0.4 정도의 낮은 증가율을 보였다.2) 듀티 비와 엔코더에서 출력된 각 위치의 관계식=> 듀티비 =3) 다음 reference input에 대한 encoder 각 위치 ... 값과 오차입력 각 위치(reference input) : A결과 각 위치(encoder) : B오차((A-B)/A * 100%)0°-3.61°?10°10.24°-2.4%20°20
    리포트 | 5페이짿 | 3,000원 | 등록일 2010.06.07
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 06월 23일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:34 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감