• 통합겿색(1,095)
  • 리포트(934)
  • (100)
  • 시험자료(50)
  • 방송통신댿(6)
  • 서식(2)
  • 자기소개서(2)
  • ppt테마(1)
판매자 표는 다운로드시 포함되지 않습니다.

"Encoder/Decoder" 검색결과 101-120 / 1,095건

  • 아주대 논리회로실험 래치와 플립플롭 , Decoder &Encoder결보고서
    논리회로실험 결과보고서실험5. Decoder & Encoder실험 1) 2*4 디코더AND, NOT gate를 사용해서 2*4 디코더회로구성실험 1 결과값입력출력ABD0D1D2D ... truth table을 얻었다.실험 2) 8 X 3 Priority Encoder74HC148 칩을 이용하여 8 X 3 Priority Encoder 회로를 구성실험 2 결과값 ... 를 알아보는 실험이었다. Priority Encoder란 우선순위부호기라고 불리며 두가지 신호가 동시에 들어와도 그중 높은값 또는 낮은값을 우선수위로 정해서 그 입력만 들어가
    리포트 | 7페이짿 | 1,000원 | 등록일 2013.11.29
  • [결]실험5. Decoder & Encoder & 실험6. Latch & Flip-Flop
    5. Decoder & Encoder & 6. Latch & Flip-Flop1. 실험과정 및 결과?실험5-1. 2X4 Decoder실험 5-1은 inverter왿 AND ... 라고도 한다.2. 고찰이번 실험을 통해 Decoder왿 Encoder를 logic gate로 구성해 보아 구조와 동작원리에 대해 알 수 있었고 Flip-Flop의 회로와 입력 값에 따른 ... gate를 사용하여 2x4 decoder를 만들고 입출력 결과를 관찰하는 실험이었다. 2비트의 2진수 코드를 4개의 다른 정보 값으로 변환해주는 2x4 decoder이므로 2개의 입력
    리포트 | 7페이짿 | 1,500원 | 등록일 2013.09.28
  • [VHDL]디코더(decoder)와 인코더(encoder)
    2. 8x3 인코더-behavior modeling소스 코드Library ieee;use ieee.std_logic_1164.all;entity encoder_bh is ... downto 0));.......Library ieee;use ieee.std_logic_1164.all;entity tb_encoder_bh isend tb_encoder_bh ... ;architecture behave of tb_encoder_bh issignal d: std_logic_vector(7 downto 0);signal en: std_logic;signal x: std_logic_vector(2 downto 0);
    리포트 | 6페이짿 | 5,000원 | 등록일 2007.06.26 | 수정일 2017.11.21
  • 결과보고서 실험 7. 복호기와 부호기(Decoder & Encoder)
    < 결과보고서 : 실험 7. 복호기와 부호기(Decoder & Encoder) >< 목 적 >카운터를 이용해 디코딩(decoding)과 인코딩(encoding)의 코드변환 동작 ... 하작에 관해 실험하고 그 동작원리에 대하여 실험을 통하여 알아보았다.실험 전 실험 강의 자료를 통해 복호기와 부호기(Decoder & Encoder)를 공부하였다. 실험 내용 ... 에 관해 실험하고 그 동작원리를 이해한다.< 실험 과정 및 결과 >1) 2단 2진 카운터2단 2진 카운터 decoding gate그림 2의 회로를 구성하여 그림 3에 다음의 파형
    리포트 | 8페이짿 | 3,000원 | 등록일 2012.03.11
  • Encoder, Decoder, MUX(Multiplex)의 작동원리 및 특징 실험 레포트
    ) Gate를 이용하여 8 by 3 Encoder를 설계하고 실험을 통해 검증한다.4) Gate를 이용하여 8 by 3 Decoder를 설계하고 실험을 통해 검증한다.5) 74LS153 ... 를 실험하면서 신기했던 것은 Encoder왿 너무나 정반대의 동작을 한다는 것이다. Encoder에서의 입력은 Decoder에서의 출력과 동일하며 Encoder에서의 출력 ... 했다.Decoder는 3입력의 AND Gate를 8개나 사용하는 구조로 되어있는데 이 또한 실험실에서 제공되지 않았다. 때문에 Encoder를 실험할 때와 동일한 방식으로 AND
    리포트 | 25페이짿 | 3,000원 | 등록일 2010.06.09
  • 논리회로실험 복호기와 부호기(Decoder & Encoder)
    실험 7. 복호기와 부호기(Decoder & Encoder)Ⅰ. 목 적카운터를 이용해 디코딩(decoding)과 인코딩(encoding)의 코드변환 동작에 관해실험하고 그 동작 ... 진수를 2진수 코드로 바꾸거나 입력 단자에 나타낸 정보를2진 코드화하여 출력시키는 회로를 부호기 또는 인코더라 한다.(1) 복호기(Decoder)⇒ 복호기는 일반적으로 n-bit ... . 이 경우 디코딩에 약간의 여유가 생기기 때문에사용되는 모든 게이트가 K개의 입력을 필요로 하지는 않는다.(2) 부호기(Encoder)⇒ 부호기는 10진수를 2진수 코드로 바꾸
    리포트 | 6페이짿 | 1,500원 | 등록일 2010.03.20
  • VHDL Decoder and Encoder(prelab 입니다) xilinx vhdl
    전자전기컴퓨터설계실험IIIPRELAB REPORT[Decoder and Encoder]학 과담당교수조학 번이 름제 출 일목 차 HYPERLINK \l "purpose" 1 ... 1. Purpose of the Experiment이번 실험은 조합논리회로를 이용한 74LS138 decoder를 이해하고 설계하는 것이다. 즉, n개의 입력에 대하여 2n개 ... 의 출력이 나오는 decoder의 동작을 이해하는 것이다.2. Theory(Pre Report)1. Describe its input output signals우리가 설계해볼
    리포트 | 11페이짿 | 2,000원 | 등록일 2008.09.28
  • 기초회로 실험 Encoder왿 Decoder를 이해하고, 이를 응용하여 7segment LED를 활용한다. (결과보고서)
    기초회로실험(2분반) 2조 결과보고서 1(제 8회)실 험 주 제Encoder왿 Decoder를 이해하고, 이를 응용하여 7segment LED를 활용한다.제 출 일 시학 번 ... egment LED의 a, b, d, e, g에 불이 켜져숫자 ‘2’를 나타내게 된다.기초회로실험(2분반) 2조 결과보고서 2(제 8회)실 험 주 제Encoder왿 Decoder를 이해 ... 7408을 사용하여 Decoder 실험 회로를 구성하고 각각의 입력에 전압을 인가하여 LED에 불이 켜지는 것을 관찰하여 Decoder의 원리를 이해하는 실험이다. 7400은 4개
    리포트 | 3페이짿 | 1,000원 | 등록일 2012.10.31 | 수정일 2013.11.11
  • [기초회로실험] 디코딩과 엔코딩(Decoding & Encoding)
    Decoding Encoding카운터의 디코딩 동작에 대해 공부한다. 엔코딩과 코드변환 동작에 대해 공부한다.실험목적■ 10진수를 2진수나 BCD코드로 변환하는 조작을 인코드 ... (encode)라 하고, 이것을 수행하는 회로를 인코더(encoder)라고 한다.■ 디지털 시스템에서 처리 되어 출력된 결과, 2진수 형태를 10진수로 변환하거나 표시하는 조작 ... 을 디코드(decode)라 하고 이것을 수행하는 회로를 디코더(decoder)라 한다.키보드 (10진수)컴퓨터 (2진수)표시 장치 (10진수)디 코 더인 코 더디코더 (Decoder
    리포트 | 13페이짿 | 1,500원 | 등록일 2009.05.14
  • convolutional encoder왿 viterbi decoder 구현 with C(컨볼루셔널 엔코더와 비터비 디코더를 C로 구현)
    본 자료는 디지털 통신에 있어 필수적이고 가장 중요한 convolutional encoder왿 그에 따른 viterbi decoder를 구현한 것입니다. convolutional ... encoder에 대한 정보는 아래 나타나 있고 엔코더와 디코더에 대한 코드는 압축파일로 압축이 되어 있습니다.구현언어는 C언어이고 코드상에 간단한 주석을 달아놓았습니다. 보통 이
    리포트 | 1페이짿 | 2,000원 | 등록일 2014.01.14
  • 전전컴실험Ⅱ 06반 제07주 Lab#05 [Decoder, Encoder, Mux] 예비 보고서
    목 차< 초록 (Abstract) >‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥ 11. Introduction (실험에 대한 소개) ‥‥‥‥‥‥‥‥‥‥‥‥ 1가. Purpose of this Lab ‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥ 1나. Essential Background..
    리포트 | 11페이짿 | 1,000원 | 등록일 2013.09.09 | 수정일 2013.09.15
  • 전전컴실험Ⅱ 06반 제07주 Lab#05 [Decoder, Encoder, Mux] 결과 보고서
    elect signal 값에 따라 출력이 달라짐을 확인하였고, 또 그 값이 예상했던 대로 나왔다. 그리고 encoder, decoder, convertor는 실험 결과를 얻지 못했 ... 어 봄으로서, Select signal을 바꿔서 출력 값을 바꾸는 성질을 이해 할 수 있다. 그리고 이 개념으로 encoder, decoder, convertor를 만들어 낼 수 있 ... mux / 1x16 demux와 4bit 4x1 mux / 1x4 demux를 직접 만들어 보고, 이 개념을 확장시켜 Binary to BCD encoder왿 BCD to Excess
    리포트 | 15페이짿 | 1,500원 | 등록일 2013.09.09 | 수정일 2013.09.15
  • [JPEG] C로 구현한 JPEG Encoding & Decoding (VC)
    멀티미디어론 과제 중 하나인 JPEG 알고리즘 구현입니다.실제 JPEG파일로 만드는 부분이 아닌 핵심 알고리즘 구현입니다.입력값은 텍스트로 된 RGB 0~255값 데이터 이며, 출력값 또한 텍스트로 된 JPEG으로 압축된 값입니다. 여기서 만약 JPEG파일로 으로 만드..
    리포트 | 1,000원 | 등록일 2007.02.01
  • VHDL-Pre lab - Decoder and Encoder!! (A+리포트 보장)
    Lecture 6Decoder and Encoder==================Contents===============Pre Report-------------------- ... ---------------------------1. 실험 목적2. 실험 이론지식 Decoder and Encoder3. 8x3 encoder 의 VHDL 코드 작성design ... 이번 실험은 decoder왿 encoder 의 정확한 개념을 파악하고 8x3 encoder왿 3x8 decoder 를 진리표를 보고 논리식을 세운 다음, Dataflow model
    리포트 | 12페이짿 | 2,000원 | 등록일 2009.06.29
  • VHDL-Post lab - Decoder and Encoder!! (A+리포트 보장)
    Lecture 6Decoder and Encoder==================Contents===============Pre Report-------------------- ... ---------------------------1. 실험 목적2. 실험 이론지식 Decoder and Encoder3. 8x3 encoder 의 VHDL 코드 작성design ... decoder 의 VHDL 코드 작성design it with dataflow modeldescribe its input output signalsdescribe its
    리포트 | 8페이짿 | 1,500원 | 등록일 2009.06.29
  • VHDL Decoder and Encoder(post lab입니다) VHDL code 포함
    전자전기컴퓨터설계실험IIIPOSTLAB REPORT[Decoder and Encoder]학 과전자전기컴퓨터공학부담당교수김영길 교수님조4 조학 번2004440044이 름노 성 호제 ... \l "behavioralsource" 2) Excess-3 Input Decoder Emulation Results HYPERLINK \l "discussion" 3. 토론 및 ... the Experiment이번 실험은 조합논리회로를 이용한 Excess-3 Input Decoder를 이해하고 설계하는 것이다. 즉, Excess-3 Input에 대하
    리포트 | 11페이짿 | 2,000원 | 등록일 2008.09.28
  • [멀티미디어] ADPCM Encoding & Decoding 알고리즘 구현(VC)
    요구 사항1.Linear PCM 데이터를 ADCPM으로 인코딩하는 프로그램을 구현하여라.-입력 데이터 : ASCII파일로 된 연속된 부호 있는 16비트의 상수값-출력 데이터 : ASCII 코드 형태로 IMA-ADPCM 인코딩된 부호있는 4비트의 상수값2.인코딩한 ADC..
    리포트 | 2,000원 | 등록일 2007.02.01
  • C언어로 짠 허프만 encode,decode 프로그램
    // 허프만 Encoder 함수 void HuffmanEncoder(FILE *fi){FILE *fo1;int cur,b,i;unsigned int length, enc
    리포트 | 2,500원 | 등록일 2005.04.28 | 수정일 2014.03.28
  • [공학]VHDL - MUX, DEMUX, COMPARE, ENCODER, PARITY, DECODER
    Library ieee; use ieee.std_logic_1164.all; entity muxp is port(s : in std_logic_vector(2 downto 0); I0, I1, I2, I3..
    리포트 | 4페이짿 | 1,000원 | 등록일 2006.11.04
  • [디지털통신2]Matlab Design_(7,3) Linear Block Code Encoding and Decoding
    Block-Level Design Using MATLAB- (7,3) Linear Block Code Encoding and Decoding -제출일전공학번이름1 ... ⇒(Encodeing)⇒∴ ⇒ Codeword의 총개수 : 23=8개☞ 모든 가능한 k-bit의 메시지를 n-bit의 코드를 할당해야하므로 2k개 만큼 코드워드가 존재한다.(c ... encode it.① (7,3) Linear block code를 만들기 위한 코드%% Parameter settingL=10; % Number of iterationsG=[1 1 1
    리포트 | 7페이짿 | 2,000원 | 등록일 2009.01.03
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 06월 13일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:56 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감